Abstract

In efforts to continuously scale feature dimensions in semiconductor manufacturing, the industry has moved from refractive optical lithography to reflective extreme ultra-violet lithography (EUVL). The latter provides a significant improvement in the resolution by illuminating mask patterns using 13.5nm source wavelength at oblique chief ray angles (CRA). A typical EUVL mask consists of a multilayer Bragg mirror topped with a 55-70nm Tantalum-based (Ta-based) absorber stack to obtain layout patterns. This current three-dimensional (3D) mask architecture in combination with a small source wavelength and oblique illumination angles results in mask 3D (M3D) effects such light shadowing, pitch dependent best focus variations, and image pattern shifts across different mask geometries. Three-dimensional mask topography effects lead to a loss of aerial image contrast and the usable depth of focus. To reduce some of these M3D effects, and to extend the 0.33 and 0.55 numerical aperture (0.33NA & 0.55NA) EUVL systems to future technological nodes, a thinner alternative mask absorber is necessary. This research focuses on determining the alternative mask absorber candidates for the reflective EUV lithography masks. This study does not intend to identify a singular absorber material but rather focuses on establishing a framework to identify materials for various absorber technologies and optimize them accordingly to suit the layout-design requirements. The research methods adopted in this dissertation include analytical modeling, experimental validation, and lithography simulations. A major contribution to this thesis is to use an analytical effective media approximation (EMA) model to identify optical constants of the material composites and model them as EUV absorber candidates. Using the EMA model, a technique to engineer EUV mask absorber composites is outlined. The validation of the EMA model is performed by multilayer thin films deposition and ellipsometry measurements at 800nm inspection wavelength in the UV-VIS-NearIR wavelength spectrum. Multilayer composites from three material systems specifically, the Mo-Ni, Mo-W, and the Ni-Al(1%Si) are fabricated via physical vapor deposition (PVD – sputtering) techniques. The ellipsometry measured optical constants of the multilayer composites show good agreement with the EMA modeled values. Another key contribution to this thesis is the introduction of a co-optimization technique to determine the absorber design requirements in combination with 3D performance modeling of the nearfield intensity and phase to qualitatively identify their impact on the M3D effects. In the case of attenuated phase shifting mask (attPSM) absorbers, the relative absorber reflectivity is utilized to determine optimum imaging performance in the 30-55nm desired absorber thickness range. Absorber thickness corresponding to the absorber reflectivity peaks are shown to have high aerial image contrast through normalized image log slope (NILS) and low mask error enhancement factors (MEEF). Additionally, the high phase shift requirement (> 200º) justifies the need of a low refractive index (low – n) of the absorber composites. It is found that optimum phase shift in EUVL depends on various factors including the absorber material, diffraction angle at the mask, mask pattern and the relative absorber reflectivity. Finally, using a similar optimization approach, index matched absorbers with high extinction coefficient (high n – high k) are also recommended as promising absorber candidates for future EUV generations.

Library of Congress Subject Headings

Extreme ultraviolet lithography; Masks (Electronics)--Materials

Publication Date

8-15-2023

Document Type

Dissertation

Student Type

Graduate

Degree Name

Microsystems Engineering (Ph.D.)

Department, Program, or Center

Microsystems Engineering (KGCOE)

Advisor

Bruce W. Smith

Advisor/Committee Member

Parsian Mohseni

Advisor/Committee Member

Ivan Puchades

Campus

RIT – Main Campus

Plan Codes

MCSE-PHD

Share

COinS